網頁

2013年9月2日 星期一

NCVerilog - import VHDL into Verilog

Last Update: 2013/09/02 15:25+08


--- Intro ---


這篇是將 VHDL 導入到 Verilog 的方法
重點在interface(shell)的建立
ImportVhdlToVerilog Verilog Model Verilog Shell For VHDL model Verilog other Model VHDL entity / architecture
環境: Linux - CentOS release 4.6 (Final)
Cadence tools: ncvhdl, ncvlog, ncelab, ncsim